首页 / 词典 / good

imd

  • 网络互调失真;瑞士洛桑国际管理发展学院;模内装饰;瑞士洛桑国际管理学院;瑞士洛桑管理学院
imdimd
  1. Study on the Printing Quality Control Based on IMD Technology

    基于IMD技术的印刷质量控制研究

  2. Study and Application of IMD Technology

    注塑成型表面装饰技术的研究和应用

  3. Design and realization of intelligent gateway based on IMD

    基于IMD的即插即用智能网关的设计和实现

  4. Investigation on RF power amplifier IMD detection and carrier cancellation

    射频放大器互调失真检测和载波抵消的研究

  5. This article introduces the requirements for IMD inks and their selection .

    介绍了IMD技术对油墨的要求以及IMD油墨的选择。

  6. IMD increases with active proliferation of the breast carcinoma .

    乳癌癌细胞在增殖活跃时,其组织内的IMD亦增高。

  7. IMD Technology and Special Ink Used

    IMD技术及IMD技术专用油墨的推广应用

  8. MATLAB Simulating Analysis on IMD of RF Power Amplifiers

    基于MATLAB的RF功率放大器互调失真仿真分析

  9. And the result indicates that the performance of IMD 3 is enhanced remarkably .

    提高生产和检测技术水平。实验结果表明,IMD3的性能得到有效的改善。

  10. A day of interviews at IMD confirmed my intuitive decision .

    IMD为期一天的数轮面试证实了我的直觉。

  11. IMD would be my choice if I was admitted .

    它将是我的选择如果我被录取的话。

  12. Data was obtained from a UN report , IMD competitiveness index and Software company data collection reports .

    数据来源于一份联合国报告,IMD的竞争力指数和软件公司的数据收集报告。

  13. Windows Network Packet Capture Technology on IMD

    基于IMD的Windows网络数据包拦截技术及应用

  14. Implement of Header Compress Algorithm in Ad hoc Network Based on NDIS IMD

    基于NDIS中间层驱动的自组织网头压缩算法实现

  15. With their high isolation characteristics , IMD antennas offer excellent performance in diversity configurations as well .

    与他们的高的隔离特点,IMD天线性能卓越的配置提供多样性。

  16. IMD Distortion for Microwave Power Amplifiers and Digital Base - band Predistortion Linearization Technology

    微波功率放大器互调失真与数字基带预失真线性化技术

  17. The IMD mRNA was measured by semi - quantitative RT-PCR .

    半定量RT-PCR测定肺组织IMDmRNA水平。

  18. IMD , like many other business schools , includes a work-based project as part of the programme .

    和许多其它商学院一样,IMD在课程中纳入了一个以工作实践为基础的项目。

  19. These are serious drawbacks for an IMD that may be responsible for keeping someone alive .

    这些问题对于维持生命的可移植医疗设备来说都是十分严重的缺陷。

  20. Unable to open IMD file .

    打不开imd文件。

  21. The Research of Regional Competitiveness of Changjiang Delta and Changjiang Economic Zone : the Analysis of Experiences of IMD Competitiveness Evaluation

    长江三角洲长江经济带区域竞争力研究基于IMD国际竞争力评价的经验分析

  22. MS Hughes says one reason she chose IMD was that it was a one-year programme .

    休斯表示,她之所以选择洛桑国际管理发展学院,原因之一在于这是一年制项目。

  23. We design a new algorithms-PM IMD ( proportion multiplicative increase and multiplicative decrease ) .

    提出了一种新的算法-PMIMD(比例乘性增加乘性减少)。

  24. After meeting a Shanghai IMD alumnus , I was introduced into the dynamic Shanghai IMD community .

    在与一位上海的imd校友见面后,我被介绍进了非常活跃的上海imd联谊小组。

  25. Wharton will become the first top US school to introduce a group interview process this year – IMD in Switzerland already does this .

    今年,沃顿将成为美国第一家引入小组面试程序的顶级商学院&瑞士洛桑国际管理发展学院(IMD)已经采取了这种做法。

  26. Wharton will become the first top US school to introduce a group interview process this year - IMD in Switzerland already does this .

    今年,沃顿将成为美国第一家引入小组面试程序的顶级商学院——瑞士洛桑国际管理发展学院(IMD)已经采取了这种做法。

  27. While Toll and Imd signal pathways independently mediate the expressional regulation of antimicrobial peptide genes .

    同时家蚕Toll和Imd信号途径可能独立地完成相应抗微生物肽基因的表达调控。

  28. Objective To study the relationship of intratumor microvessel density ( IMD ) with progression and prognosis of renal cell carcinoma .

    目的:研究肿瘤微血管密度(IMD)与肾癌进展及肾癌根治术后患者预后的关系。

  29. Swiss school IMD climbs to second place after coming third for four years , relegating HEC Paris into third .

    瑞士洛桑国际管理发展学院(IMD)在连续4年排名第三后攀升到了第二位,把法国巴黎高等商学院(HECParis)挤到第三位。

  30. As IMD president Dominique Turpin puts it : We were expensive .

    正如该学院总裁多米尼克图尔平(DominiqueTurpin)所言:我们变得昂贵了。